Line 28: Line 28:


====[http://www.sciencemag.org/content/335/6073/1205 Coking- and Sintering-Resistant Palladium Catalysts Achieved Through Atomic Layer Deposition<ref name="Lu">Lu, Junling, Baosong Fu, Mayfair C. Kung, Guomin Xiao, Jeffrey W. Elam, Harold H. Kung, and Peter C. Stair. “Coking- and Sintering-Resistant Palladium Catalysts Achieved Through Atomic Layer Deposition.” Science 335, no. 6073 (March 9, 2012): 1205–1208.</ref>]====  
====[http://www.sciencemag.org/content/335/6073/1205 Coking- and Sintering-Resistant Palladium Catalysts Achieved Through Atomic Layer Deposition<ref name="Lu">Lu, Junling, Baosong Fu, Mayfair C. Kung, Guomin Xiao, Jeffrey W. Elam, Harold H. Kung, and Peter C. Stair. “Coking- and Sintering-Resistant Palladium Catalysts Achieved Through Atomic Layer Deposition.” Science 335, no. 6073 (March 9, 2012): 1205–1208.</ref>]====  
'''Abstract''': We showed that alumina (Al2O3) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al2O3 overcoated Pd catalysts.


====[http://www.nature.com/nmat/journal/v10/n7/full/nmat3047.html Atomic layer-deposited tunnel oxide stabilizes silicon photoanodes for water oxidation<ref name="Chen">Chen, Yi Wei, Jonathan D. Prange, Simon Dühnen, Yohan Park, Marika Gunji, Christopher E. D. Chidsey, and Paul C. McIntyre. “Atomic Layer-deposited Tunnel Oxide Stabilizes Silicon Photoanodes for Water Oxidation.” Nature Materials 10, no. 7 (2011): 539–544.</ref>]====  
====[http://www.nature.com/nmat/journal/v10/n7/full/nmat3047.html Atomic layer-deposited tunnel oxide stabilizes silicon photoanodes for water oxidation<ref name="Chen">Chen, Yi Wei, Jonathan D. Prange, Simon Dühnen, Yohan Park, Marika Gunji, Christopher E. D. Chidsey, and Paul C. McIntyre. “Atomic Layer-deposited Tunnel Oxide Stabilizes Silicon Photoanodes for Water Oxidation.” Nature Materials 10, no. 7 (2011): 539–544.</ref>]====  
 
'''Abstract''': A leading approach for large-scale electrochemical energy production with minimal global-warming gas emission is to use a renewable source of electricity, such as solar energy, to oxidize water, providing the abundant source of electrons needed in fuel synthesis. We report corrosion-resistant, nanocomposite anodes for the oxidation of water required to produce renewable fuels. Silicon, an earth-abundant element and an efficient photovoltaic material, is protected by atomic layer deposition (ALD) of a highly uniform, 2 nm thick layer of titanium dioxide (TiO2) and then coated with an optically transmitting layer of a known catalyst (3 nm iridium). Photoelectrochemical water oxidation was observed to occur below the reversible potential whereas dark electrochemical water oxidation was found to have low-to-moderate overpotentials at all pH values, resulting in an inferred photovoltage of ~550 mV. Water oxidation is sustained at these anodes for many hours in harsh pH and oxidative environments whereas comparable silicon anodes without the TiO2 coating quickly fail. The desirable electrochemical efficiency and corrosion resistance of these anodes is made possible by the low electron-tunnelling resistance (<0.006 Ω cm2 for p+-Si) and uniform thickness of atomic-layer deposited TiO2.


====[http://www.nature.com/nnano/journal/v5/n7/full/nnano.2010.101.html Mimicking the colourful wing scale structure of the Papilio blumei butterfly<ref name="Kolle">Kolle, Mathias, Pedro M. Salgard-Cunha, Maik R. J. Scherer, Fumin Huang, Pete Vukusic, Sumeet Mahajan, Jeremy J. Baumberg, and Ullrich Steiner. “Mimicking the Colourful Wing Scale Structure of the Papilio Blumei Butterfly.” Nature Nanotechnology 5, no. 7 (2010): 511–515.</ref>]====  
====[http://www.nature.com/nnano/journal/v5/n7/full/nnano.2010.101.html Mimicking the colourful wing scale structure of the Papilio blumei butterfly<ref name="Kolle">Kolle, Mathias, Pedro M. Salgard-Cunha, Maik R. J. Scherer, Fumin Huang, Pete Vukusic, Sumeet Mahajan, Jeremy J. Baumberg, and Ullrich Steiner. “Mimicking the Colourful Wing Scale Structure of the Papilio Blumei Butterfly.” Nature Nanotechnology 5, no. 7 (2010): 511–515.</ref>]====  
'''Abstract''': The brightest and most vivid colours in nature arise from the interaction of light with surfaces that exhibit periodic structure on the micro- and nanoscale. In the wings of butterflies, for example, a combination of multilayer interference, optical gratings, photonic crystals and other optical structures gives rise to complex colour mixing. Although the physics of structural colours is well understood, it remains a challenge to create artificial replicas of natural photonic structures. Here we use a combination of layer deposition techniques, including colloidal self-assembly, sputtering and atomic layer deposition, to fabricate photonic structures that mimic the colour mixing effect found on the wings of the Indonesian butterfly Papilio blumei. We also show that a conceptual variation to the natural structure leads to enhanced optical properties. Our approach offers improved efficiency, versatility and scalability compared with previous approaches.


====[http://www.nature.com/nmat/journal/v2/n11/full/nmat1000.html Atomic layer deposition of transition metals<ref name="Lim">Lim, Booyong S., Antti Rahtu, and Roy G. Gordon. “Atomic Layer Deposition of Transition Metals.” Nature Materials 2, no. 11 (2003): 749–754.</ref>]====  
====[http://www.nature.com/nmat/journal/v2/n11/full/nmat1000.html Atomic layer deposition of transition metals<ref name="Lim">Lim, Booyong S., Antti Rahtu, and Roy G. Gordon. “Atomic Layer Deposition of Transition Metals.” Nature Materials 2, no. 11 (2003): 749–754.</ref>]====  
'''Abstract''': Atomic layer deposition (ALD) is a process for depositing highly uniform and conformal thin films by alternating exposures of a surface to vapours of two chemical reactants. ALD processes have been successfully demonstrated for many metal compounds, but for only very few pure metals. Here we demonstrate processes for the ALD of transition metals including copper, cobalt, iron and nickel. Homoleptic N,N′-dialkylacetamidinato metal compounds and molecular hydrogen gas were used as the reactants. Their surface reactions were found to be complementary and self-limiting, thus providing highly uniform thicknesses and conformal coating of long, narrow holes. We propose that these ALD layers grow by a hydrogenation mechanism that should also operate during the ALD of many other metals. The use of water vapour in place of hydrogen gas gives highly uniform, conformal films of metal oxides, including lanthanum oxide. These processes should permit the improved production of many devices for which the ALD process has previously not been applicable.


====[http://www.sciencedirect.com/science/article/pii/S0040609002004388 Electrical characterization of thin Al2O3 films grown by atomic layer deposition on silicon and various metal substrates<ref name="Groner">Groner, M.D., J.W. Elam, F.H. Fabreguette, and S.M. George. “Electrical Characterization of Thin Al2O3 Films Grown by Atomic Layer Deposition on Silicon and Various Metal Substrates.” Thin Solid Films 413, no. 1–2 (June 24, 2002): 186–197.</ref>]====  
====[http://www.sciencedirect.com/science/article/pii/S0040609002004388 Electrical characterization of thin Al2O3 films grown by atomic layer deposition on silicon and various metal substrates<ref name="Groner">Groner, M.D., J.W. Elam, F.H. Fabreguette, and S.M. George. “Electrical Characterization of Thin Al2O3 Films Grown by Atomic Layer Deposition on Silicon and Various Metal Substrates.” Thin Solid Films 413, no. 1–2 (June 24, 2002): 186–197.</ref>]====  
'''Abstract''': Al2O3 films with thicknesses ranging from 30 to 3540 Å were grown in a viscous flow reactor using atomic layer deposition (ALD) with trimethylaluminum and water as the reactants. Growth temperatures ranged from 125 to 425 °C. The Al2O3 ALD films were deposited successfully on a variety of substrates including Au, Co, Cr, Cu, Mo, Ni, NiFe, NiMn, Pt, PtMn, Si, stainless steel, W, and ZnO. Electrical properties were characterized by current–voltage and capacitance–voltage measurements using a mercury probe. These measurements focused mainly on Al2O3 ALD films deposited on n-type Si(1 0 0) and on Mo-coated Si(1 0 0) substrates. Excellent insulating properties were observed for nearly all of the Al2O3 films. For a typical Al2O3 ALD film with a 120 Å thickness, leakage currents of &lt;1 nA/cm2 were observed at an applied electric field of 2 MV/cm. Fowler–Nordheim tunneling was observed at high electric fields and dielectric breakdown occurred only at ⩾5 MV/cm. Dielectric constants of k∼7.6 were measured for thick Al2O3 ALD films. The measured dielectric constant decreased with decreasing Al2O3 film thickness and suggested the presence of a thin interfacial oxide layer. For Al2O3 ALD films grown on n-type Si(1 0 0), capacitance measurements were consistent with an interfacial layer with a SiO2 equivalent oxide thickness of 11 Å. Spectroscopic ellipsometry investigations also were in agreement with a SiO2 interfacial layer with a 13 Å thickness.


====[http://apps.webofknowledge.com/full_record.do?product=UA&search_mode=GeneralSearch&qid=1&SID=3EG2oN4m7EpK6k@8Bfk&page=1&doc=2&cacheurlFromRightClick=no Improved Functionality of Lithium-Ion Batteries Enabled by Atomic Layer  Deposition on the Porous Microstructure of Polymer Separators and  Coating Electrodes<ref name="Jung">Jung, Yoon Seok, Andrew S. Cavanagh, Lynn Gedvilas, Nicodemus E. Widjonarko, Isaac D. Scott, Se-Hee Lee, Gi-Heon Kim, Steven M. George, and Anne C. Dillon. “Improved Functionality of Lithium-Ion Batteries Enabled by Atomic Layer  Deposition on the Porous Microstructure of Polymer Separators and  Coating Electrodes.” Advanced Energy Materials 2, no. 8 (August 2012): 1022–1027.</ref>]====  
====[http://apps.webofknowledge.com/full_record.do?product=UA&search_mode=GeneralSearch&qid=1&SID=3EG2oN4m7EpK6k@8Bfk&page=1&doc=2&cacheurlFromRightClick=no Improved Functionality of Lithium-Ion Batteries Enabled by Atomic Layer  Deposition on the Porous Microstructure of Polymer Separators and  Coating Electrodes<ref name="Jung">Jung, Yoon Seok, Andrew S. Cavanagh, Lynn Gedvilas, Nicodemus E. Widjonarko, Isaac D. Scott, Se-Hee Lee, Gi-Heon Kim, Steven M. George, and Anne C. Dillon. “Improved Functionality of Lithium-Ion Batteries Enabled by Atomic Layer  Deposition on the Porous Microstructure of Polymer Separators and  Coating Electrodes.” Advanced Energy Materials 2, no. 8 (August 2012): 1022–1027.</ref>]====  
'''Abstract''': Atomic layer deposition (ALD) of Al2O3 is applied on a polypropylene separator for lithium-ion batteries. A thin Al2O3 layer (<10 nm) is coated on every surface of the porous polymer microframework without significantly increasing the total separator thickness. The thin Al2O3 ALD coating results in significantly suppressed thermal shrinkage, which may lead to improved safety of the batteries. More importantly, the wettability of Al2O3 ALD-coated separators in an extremely polar electrolyte based on pure propylene carbonate (PC) solvent is demonstrated, without any decrease in electrochemical performances such as capacity, rate capability, and cycle life. Finally, a LiCoO2/natural graphite full cell is demonstrated under extremely severe conditions (pure PC-based electrolyte and high (4.5 V) upper cut-off potential), which is enabled by the Al2O3 ALD coating on all three components (cathode, anode, and separator).


====[http://dx.doi.org/10.1021/ja054685k Ab Initio Calculations of the Reaction Mechanisms for Metal−Nitride Deposition from Organo-Metallic Precursors onto Functionalized Self-Assembled Monolayers<ref name="Haran">Haran, Mohit, James R. Engstrom, and Paulette Clancy. “Ab Initio Calculations of the Reaction Mechanisms for Metal−Nitride Deposition from Organo-Metallic Precursors onto Functionalized Self-Assembled Monolayers.” Journal of the American Chemical Society 128, no. 3 (January 1, 2006): 836–847.</ref>]====  
====[http://dx.doi.org/10.1021/ja054685k Ab Initio Calculations of the Reaction Mechanisms for Metal−Nitride Deposition from Organo-Metallic Precursors onto Functionalized Self-Assembled Monolayers<ref name="Haran">Haran, Mohit, James R. Engstrom, and Paulette Clancy. “Ab Initio Calculations of the Reaction Mechanisms for Metal−Nitride Deposition from Organo-Metallic Precursors onto Functionalized Self-Assembled Monolayers.” Journal of the American Chemical Society 128, no. 3 (January 1, 2006): 836–847.</ref>]====  
'''Abstract''': An atomistic mechanism has been derived for the initial stages of the adsorption reaction for metal?nitride atomic layer deposition (ALD) from alkylamido organometallic precursors of Ti and Zr on alkyltrichorosilane-based self-assembled monolayers (SAMs). The effect of altering the terminal functional group on the SAM (including ?OH, ?NH2, ?SH, and ?NH(CH3)) has been investigated using the density functional theory and the MP2 perturbation theory. Reactions on amine-terminated SAMs proceed through the formation of a dative-bond complex with an activation barrier of 16?20 kcal/mol. In contrast, thiol-terminated SAMs form weak hydrogen-bonded intermediates with activation barriers between 7 and 10 kcal/mol. The deposition of Ti organometallic precursors on hydroxyl-terminated SAMs proceeds through the formation of stronger hydrogen-bonded complexes with barriers of 7 kcal/mol. Zr-based precursors form dative-bonded adducts with near barrierless transitions. This variety allows us to select a kinetically favorable substrate for a chosen precursor. The predicted order of reactivity of differently terminated SAMs and the temperature dependence of the initial reaction probability have been confirmed for Ti-based precursors by recent experimental results.53 We predict that the replacement of methyl groups by trifluoromethyl groups on the SAM backbone decreases the activation barrier for amine-terminated SAMs by 5 kcal/mol. This opens a route to alter the native reactivities of a given SAM termination, in this case making amine termination energetically viable. The surface distribution of SAM molecules has a strong effect on the adsorption kinetics of Ti-based precursors. Unimolecular side decomposition reactions were found to be kinetically competitive with adsorption at 400 K.


====[http://dx.doi.org/10.1021/ja8090388 Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium<ref name="Pore">Pore, Viljami, Timo Hatanpää, Mikko Ritala, and Markku Leskelä. “Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium.” Journal of the American Chemical Society 131, no. 10 (March 18, 2009): 3478–3480.</ref>]====  
====[http://dx.doi.org/10.1021/ja8090388 Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium<ref name="Pore">Pore, Viljami, Timo Hatanpää, Mikko Ritala, and Markku Leskelä. “Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium.” Journal of the American Chemical Society 131, no. 10 (March 18, 2009): 3478–3480.</ref>]====  
'''Abstract''': Atomic layer deposition (ALD) of metal selenide and telluride thin films has been limited because of a lack of precursors that would at the same time be safe and exhibit high reactivity as required in ALD. Yet there are many important metal selenide and telluride thin film materials whose deposition by ALD might be beneficial, for example, CuInSe2 for solar cells and Ge2Sb2Te5 for phase-change random-access memories. Especially in the latter case highly conformal deposition offered by ALD is essential for high storage density. By now, ALD of germanium antimony telluride (GST) has been attempted only using plasma-assisted processes owing to the lack of appropriate tellurium precursors. In this paper we make a breakthrough in the development of new ALD precursors for tellurium and selenium. Compounds with a general formula (R3Si)2Te and (R3Si)2Se react with various metal halides forming the corresponding metal tellurides and selenides. As an example, we show that Sb2Te3, GeTe, and GST films can be deposited by ALD using (Et3Si)2Te, SbCl3, and GeCl2·C4H8O2 compounds as precursors. All three precursors exhibit a typical saturative ALD growth behavior and GST films prepared at 90 °C show excellent conformality on a high aspect-ratio trench structure.


====[http://dx.doi.org/10.1021/ja803471g Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition<ref name="Ras">Ras, Robin H. A., Elina Sahramo, Jari Malm, Janne Raula, and Maarit Karppinen. “Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition.” Journal of the American Chemical Society 130, no. 34 (August 1, 2008): 11252–11253.</ref>]====  
====[http://dx.doi.org/10.1021/ja803471g Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition<ref name="Ras">Ras, Robin H. A., Elina Sahramo, Jari Malm, Janne Raula, and Maarit Karppinen. “Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition.” Journal of the American Chemical Society 130, no. 34 (August 1, 2008): 11252–11253.</ref>]====  
'''Abstract''': Area-selective atomic layer deposition (ALD) allows the growth of highly uniform thin inorganic films on certain parts of the substrate while preventing the film growth on other parts. Although the selective ALD growth is working well at the micron and submicron scale, it has failed at the nanoscale, especially near the interface where there is growth on one side and no-growth on the other side. The reason is that methods so far solely rely on the chemical modification of the substrate, while neglecting the occurrence of lateral ALD growth at the nanoscale. Here we present a proof-of-concept for blocking the lateral ALD growth also at the nanoscale by combining the chemical surface modification with topographical features. We demonstrate that area-selective ALD of ZnO occurs by applying the diethylzinc/water ALD process on cicada wings that contain a dense array of nanoscopic pillars. The sizes of the features in the inorganic film are down to 25 nm which is, to the best of our knowledge, the smallest obtained by area-selective ALD. Importantly, our concept allows the synthesis of such small features even though the film is multiple times thicker.


====[http://dx.doi.org/10.1021/ja909102j Growth of Crystalline Gd2O3 Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition<ref name="Milanov">Milanov, Andrian P., Ke Xu, Apurba Laha, Eberhard Bugiel, Ramadurai Ranjith, Dominik Schwendt, H. Jörg Osten, Harish Parala, Roland A. Fischer, and Anjana Devi. “Growth of Crystalline Gd2O3 Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition.” Journal of the American Chemical Society 132, no. 1 (January 13, 2010): 36–37.</ref>]====  
====[http://dx.doi.org/10.1021/ja909102j Growth of Crystalline Gd2O3 Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition<ref name="Milanov">Milanov, Andrian P., Ke Xu, Apurba Laha, Eberhard Bugiel, Ramadurai Ranjith, Dominik Schwendt, H. Jörg Osten, Harish Parala, Roland A. Fischer, and Anjana Devi. “Growth of Crystalline Gd2O3 Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition.” Journal of the American Chemical Society 132, no. 1 (January 13, 2010): 36–37.</ref>]====  
'''Abstract''':This work documents the first example of deposition of high-quality Gd2O3 thin films in a surface-controlled, self-limiting manner by a water-based atomic layer deposition (ALD) process using the engineered homoleptic gadolinium guanidinate precursor [Gd(DPDMG)3]. The potential of this class of compound is demonstrated in terms of a true ALD process, exhibiting pronounced growth rates, a high-quality interface between the film and the substrate without the need for any additional surface treatment prior to the film deposition, and most importantly, encouraging electrical properties.


====[http://dx.doi.org/10.1021/ja109398t Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a “Bait and Switch” Surface-Limited Reaction<ref name="Liang">Liang, Xuehai, Qinghui Zhang, Marcus D. Lay, and John L. Stickney. “Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a ‘Bait and Switch’ Surface-Limited Reaction.” Journal of the American Chemical Society 133, no. 21 (June 1, 2011): 8199–8204.</ref>]====  
====[http://dx.doi.org/10.1021/ja109398t Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a “Bait and Switch” Surface-Limited Reaction<ref name="Liang">Liang, Xuehai, Qinghui Zhang, Marcus D. Lay, and John L. Stickney. “Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a ‘Bait and Switch’ Surface-Limited Reaction.” Journal of the American Chemical Society 133, no. 21 (June 1, 2011): 8199–8204.</ref>]====  
'''Abstract''':Ge nanofilms were deposited from aqueous solutions using the electrochemical analog of atomic layer deposition (ALD). Direct electrodeposition of Ge from an aqueous solution is self-limited to a few monolayers, depending on the pH. This report describes an E-ALD process for the growth of Ge films from aqueous solutions. The E-ALD cycle involved inducing a Ge atomic layer to deposit on a Te atomic layer formed on Ge, via underpotential deposition (UPD). The Te atomic layer was then reductively stripped from the deposit, leaving the Ge and completing the cycle. The Te atomic layer was bait for Ge deposition, after which the Te was switched out, reduced to a soluble telluride, leaving the Ge (one ?bait and switch? cycle). Deposit thickness was a linear function of the number of cycles. Raman spectra indicated formation of an amorphous Ge film, consistent with the absence of a XRD pattern. Films were more stable and homogeneous when formed on Cu substrates, than on Au, due to a larger hydrogen overpotential, and the corresponding lower tendency to form bubbles.


====[http://dx.doi.org/10.1021/ja1025112 Coaxial Heterogeneous Structure of TiO2 Nanotube Arrays with CdS as a Superthin Coating Synthesized via Modified Electrochemical Atomic Layer Deposition<ref name="Zhu">Zhu, Wen, Xi Liu, Huiqiong Liu, Dali Tong, Junyou Yang, and Jiangying Peng. “Coaxial Heterogeneous Structure of TiO2 Nanotube Arrays with CdS as a Superthin Coating Synthesized via Modified Electrochemical Atomic Layer Deposition.” Journal of the American Chemical Society 132, no. 36 (September 15, 2010): 12619–12626.</ref>]====  
====[http://dx.doi.org/10.1021/ja1025112 Coaxial Heterogeneous Structure of TiO2 Nanotube Arrays with CdS as a Superthin Coating Synthesized via Modified Electrochemical Atomic Layer Deposition<ref name="Zhu">Zhu, Wen, Xi Liu, Huiqiong Liu, Dali Tong, Junyou Yang, and Jiangying Peng. “Coaxial Heterogeneous Structure of TiO2 Nanotube Arrays with CdS as a Superthin Coating Synthesized via Modified Electrochemical Atomic Layer Deposition.” Journal of the American Chemical Society 132, no. 36 (September 15, 2010): 12619–12626.</ref>]====  
'''Abstract''':We report the fabrication and characterization of CdS/TiO2 nanotube-array coaxial heterogeneous structures. Such structures may potentially be applied in various photocatalytic fields, such as water photocatalytic decomposition and toxic pollutant photocatalytic degradation. Thin films of CdS are conformally deposited onto TiO2 nanotubes using a modified method of electrochemical atomic layer deposition. We propose that such nanostructured electrodes can overcome the poor absorption and high charge-carrier recombination observed with nanoparticulate films. The practical electrochemical deposition technique promotes the deposition of CdS onto the TiO2 tube walls while minimizing deposition at the tube entrances, thus preventing pore clogging. The coaxial heterogeneous structure prepared by the new electrochemical process significantly enhances CdS/TiO2 and CdS/electrolyte contact areas and reduces the distance that holes and electrons must travel to reach the electrolyte or underlying conducting substrate. This results in enhanced photon absorption and photocurrent generation. The detailed synthesis process and the surface morphology, structure, elemental analysis, and photoelectrochemical properties of the resulting films with the CdS/TiO2 nanotube-array coaxial heterogeneous structure are discussed. In comparison with a pure TiO2 nanotube array, a 5-fold enhancement in photoactivity was observed using the coaxial heterogeneous structure. This methodology may be useful in designing multijunction semiconductor materials for coating of highly structured substrates.


====[http://dx.doi.org/10.1021/ja8023059 Atomic Layer Deposition of Metal Oxides on Pristine and Functionalized Graphene<ref name="Wang">Wang, Xinran, Scott M. Tabakman, and Hongjie Dai. “Atomic Layer Deposition of Metal Oxides on Pristine and Functionalized Graphene.” Journal of the American Chemical Society 130, no. 26 (July 1, 2008): 8152–8153.</ref>]====  
====[http://dx.doi.org/10.1021/ja8023059 Atomic Layer Deposition of Metal Oxides on Pristine and Functionalized Graphene<ref name="Wang">Wang, Xinran, Scott M. Tabakman, and Hongjie Dai. “Atomic Layer Deposition of Metal Oxides on Pristine and Functionalized Graphene.” Journal of the American Chemical Society 130, no. 26 (July 1, 2008): 8152–8153.</ref>]====  
'''Abstract''':We investigate atomic layer deposition (ALD) of metal oxide on pristine and functionalized graphene. On pristine graphene, ALD coating can only actively grow on edges and defect sites, where dangling bonds or surface groups react with ALD precursors. This affords a simple method to decorate and probe single defect sites in graphene planes. We used perylene tetracarboxylic acid (PTCA) to functionalize the graphene surface and selectively introduced densely packed surface groups on graphene. Uniform ultrathin ALD coating on PTCA graphene was achieved over a large area. The functionalization method could be used to integrate ultrathin high-? dielectrics in future graphene electronics.


====[http://www.sciencedirect.com/science/article/pii/S0040609001016789 Thin film atomic layer deposition equipment for semiconductor processing<ref name="Sneh">Sneh, Ofer, Robert B Clark-Phelps, Ana R Londergan, Jereld Winkler, and Thomas E Seidel. “Thin Film Atomic Layer Deposition Equipment for Semiconductor Processing.” Thin Solid Films 402, no. 1–2 (January 1, 2002): 248–261.</ref>]====  
====[http://www.sciencedirect.com/science/article/pii/S0040609001016789 Thin film atomic layer deposition equipment for semiconductor processing<ref name="Sneh">Sneh, Ofer, Robert B Clark-Phelps, Ana R Londergan, Jereld Winkler, and Thomas E Seidel. “Thin Film Atomic Layer Deposition Equipment for Semiconductor Processing.” Thin Solid Films 402, no. 1–2 (January 1, 2002): 248–261.</ref>]====  
'''Abstract''':Atomic layer deposition (ALD) of ultrathin high-K dielectric films has recently penetrated research and development lines of several major memory and logic manufacturers due to the promise of unprecedented control of thickness, uniformity, quality and material properties. LYNX-ALD technology from Genus, currently at beta phase, was designed around the anticipation that future ultrathin materials are likely to be binary, ternary or quaternary alloys or nanolaminate composites. A unique chemical delivery system enables synergy between traditional, production-proven low pressure chemical vapor deposition (LPCVD) technology and atomic layer deposition (ALD) controlled by sequential surface reactions. Source chemicals from gas, liquid or solid precursors are delivered to impinge on reactive surfaces where self-limiting surface reactions yield film growth with layer-by-layer control. Surfaces are made reactive by the self-limiting reactions, by surface species manipulation, or both. The substrate is exposed to one reactant at a time to suppress possible chemical vapor deposition (CVD) contribution to the film. Precisely controlled composite materials with multiple-component dielectric and metal–nitride films can be deposited by ALD techniques. The research community has demonstrated these capabilities during the past decade. Accordingly, ALD equipment for semiconductor processing is unanimously in high demand. However, mainstream device manufacturers still criticize ALD to be non-viable for Semiconductor device processing. This article presents a broad set of data proving feasibility of ALD technology for semiconductor device processing.


====[http://www.sciencedirect.com/science/article/pii/S0925838810007267 Chemical vapour deposition and atomic layer deposition of amorphous and nanocrystalline metallic coatings: Towards deposition of multimetallic films<ref name="Blanquet">Blanquet, Elisabeth, Arnaud Mantoux, Michel Pons, and Constantin Vahlas. “Chemical Vapour Deposition and Atomic Layer Deposition of Amorphous and Nanocrystalline Metallic Coatings: Towards Deposition of Multimetallic Films.” Journal of Alloys and Compounds 504, Supplement 1, no. 0 (August 2010): S422–S424.</ref>]====  
====[http://www.sciencedirect.com/science/article/pii/S0925838810007267 Chemical vapour deposition and atomic layer deposition of amorphous and nanocrystalline metallic coatings: Towards deposition of multimetallic films<ref name="Blanquet">Blanquet, Elisabeth, Arnaud Mantoux, Michel Pons, and Constantin Vahlas. “Chemical Vapour Deposition and Atomic Layer Deposition of Amorphous and Nanocrystalline Metallic Coatings: Towards Deposition of Multimetallic Films.” Journal of Alloys and Compounds 504, Supplement 1, no. 0 (August 2010): S422–S424.</ref>]====  
'''Abstract''':This paper provides a prospective insight on chemical vapour deposition (CVD) and atomic layer deposition (ALD) as dry techniques for the processing of amorphous and nanocrystalline metallic thin films. These techniques are part of major technologies in application fields such as microelectronics, energy, or protective coatings. From thermodynamic analysis, areas of investigation to generate a set of materials with the strongest propensity for amorphization as well as useful guidelines for the target phase material deposition are provided. Prospective to develop MOCVD (metalorganic chemical vapour deposition) and ALD of intermetallic films, in view of fabrication of metallic glass thin films is proposed. Examples from selected ALD and MOCVD single element metallic deposition processes will be described to illustrate the effect of deposition parameters on the physico-chemical properties of the films. This processing approach is particularly promising for metallic glass thin films.


====[http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5042640&abstractAccess=no&userType=inst Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process<ref name="Puurunen">Puurunen, Riikka L. “Surface Chemistry of Atomic Layer Deposition: A Case Study for the Trimethylaluminum/water Process.” Journal of Applied Physics 97, no. 12 (June 2005): 121301 –121301–52.</ref>]====  
====[http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5042640&abstractAccess=no&userType=inst Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process<ref name="Puurunen">Puurunen, Riikka L. “Surface Chemistry of Atomic Layer Deposition: A Case Study for the Trimethylaluminum/water Process.” Journal of Applied Physics 97, no. 12 (June 2005): 121301 –121301–52.</ref>]====  
'''Abstract''':Atomic layer deposition (ALD), a chemical vapor deposition technique based on sequential self-terminating gas #x2013;solid reactions, has for about four decades been applied for manufacturing conformal inorganic material layers with thickness down to the nanometer range. Despite the numerous successful applications of material growth by ALD, many physicochemical processes that control ALD growth are not yet sufficiently understood. To increase understanding of ALD processes, overviews are needed not only of the existing ALD processes and their applications, but also of the knowledge of the surface chemistry of specific ALD processes. This work aims to start the overviews on specific ALD processes by reviewing the experimental information available on the surface chemistry of the trimethylaluminum/water process. This process is generally known as a rather ideal ALD process, and plenty of information is available on its surface chemistry. This in-depth summary of the surface chemistry of one representative ALD process aims also to provide a view on the current status of understanding the surface chemistry of ALD, in general. The review starts by describing the basic characteristics of ALD, discussing the history of ALD #x2014;including the question who made the first ALD experiments #x2014;and giving an overview of the two-reactant ALD processes investigated to date. Second, the basic concepts related to the surface chemistry of ALD are described from a generic viewpoint applicable to all ALD processes based on compound reactants. This description includes physicochemical requirements for self-terminating reactions, reaction kinetics, typical chemisorption mechanisms, factors causing saturation, reasons for growth of less than a monolayer per cycle, effect of the temperature and number of cycles on the growth per cycle (GPC), and the growth mode. A comparison is made of three models available for estimating the sterically allowed value of GPC in ALD. Third, the exp- erimental information on the surface chemistry in the trimethylaluminum/water ALD process are reviewed using the concepts developed in the second part of this review. The results are reviewed critically, with an aim to combine the information obtained in different types of investigations, such as growth experiments on flat substrates and reaction chemistry investigation on high-surface-area materials. Although the surface chemistry of the trimethylaluminum/water ALD process is rather well understood, systematic investigations of the reaction kinetics and the growth mode on different substrates are still missing. The last part of the review is devoted to discussing issues which may hamper surface chemistry investigations of ALD, such as problematic historical assumptions, nonstandard terminology, and the effect of experimental conditions on the surface chemistry of ALD. I hope that this review can help the newcomer get acquainted with the exciting and challenging field of surface chemistry of ALD and can serve as a useful guide for the specialist towards the fifth decade of ALD research.


====[http://www.sciencedirect.com/science/article/pii/S0169433210018271 Formation of strontium template on Si(1 0 0) by atomic layer deposition<ref name="Zhang">Zhang, C.B., L. Wielunski, and B.G. Willis. “Formation of Strontium Template on Si(1 0 0) by Atomic Layer Deposition.” Applied Surface Science 257, no. 11 (March 15, 2011): 4826–4830.</ref>]====  
====[http://www.sciencedirect.com/science/article/pii/S0169433210018271 Formation of strontium template on Si(1 0 0) by atomic layer deposition<ref name="Zhang">Zhang, C.B., L. Wielunski, and B.G. Willis. “Formation of Strontium Template on Si(1 0 0) by Atomic Layer Deposition.” Applied Surface Science 257, no. 11 (March 15, 2011): 4826–4830.</ref>]====  
'''Abstract''':The formation of ordered Sr overlayers on Si(1 0 0) by Atomic Layer Deposition (ALD) from bis(triisopropylcyclopentadienyl) Strontium (Sr(C5iPr3H2)2) and H2O has been investigated. SrO overlayers were deposited on a 1–2 nm SiO2/Si(1 0 0) substrate, followed by a deoxidation process to remove the SiO2 layer at high temperatures. Auger electron spectroscopy, Rutherford backscattering spectrometry, spectroscopic ellipsometry, and low-energy electron diffraction were used to investigate the progress of both ALD and deoxidation processes. Results show that an ordered Sr/Si(1 0 0) surface with 2 × 1 pattern can be obtained after depositing several monolayers of SrO on Si using ALD followed by an anneal at 800–850 °C. The (2 × 1) ordered Sr/Si(1 0 0) surface is known to be an excellent template for the epitaxial growth of SrTiO3 (STO) oxide. The present results demonstrate that ALD is a potential alternative to molecular beam epitaxy methods for the fabrication of epitaxial oxides on semiconductor substrates.


====[http://www.sciencedirect.com/science/article/pii/S004060900600736X Atomic layer deposition of palladium films on Al2O3 surfaces<ref name="Elam">Elam, J.W., A. Zinovev, C.Y. Han, H.H. Wang, U. Welp, J.N. Hryn, and M.J. Pellin. “Atomic Layer Deposition of Palladium Films on Al2O3 Surfaces.” Thin Solid Films 515, no. 4 (December 5, 2006): 1664–1673.</ref>]====  
====[http://www.sciencedirect.com/science/article/pii/S004060900600736X Atomic layer deposition of palladium films on Al2O3 surfaces<ref name="Elam">Elam, J.W., A. Zinovev, C.Y. Han, H.H. Wang, U. Welp, J.N. Hryn, and M.J. Pellin. “Atomic Layer Deposition of Palladium Films on Al2O3 Surfaces.” Thin Solid Films 515, no. 4 (December 5, 2006): 1664–1673.</ref>]====  
'''Abstract''':We examined the atomic layer deposition (ALD) of Pd films using sequential exposures of Pd(II) hexafluoroacetylacetonate (Pd(hfac)2) and formalin and discovered that formalin enables the efficient nucleation of Pd ALD on Al2O3. In situ quartz crystal microbalance measurements revealed that the Pd nucleation is hampered by the relatively slow reaction of the adsorbed Pd(hfac)2 species, but is accelerated using larger initial Pd(hfac)2 and formalin exposures. Pd nucleation proceeds via coalescence of islands and leaves hfac contamination at the Al2O3 interface. Pd films were deposited on the thermal oxide of silicon, glass and mesoporous anodic alumina following the ALD of a thin Al2O3 seed layer and analyzed using a variety of techniques. We measured a Pd ALD growth rate of 0.2 Å/cycle following a nucleation period of slower growth. The deposited films are cubic Pd with a roughness of 4.2 nm and a resistivity of 11 μΩ cm at 42 nm thickness. Using this method, Pd deposits conformally on the inside of mesoporous anodic alumina membranes with aspect ratio ∼1500 yielding promising hydrogen sensors.


====[http://www.sciencedirect.com/science/article/pii/S0167931710004077 Effects of surface passivation during atomic layer deposition of Al2O3 on In0.53Ga0.47As substrates<ref name="Lamagna">Lamagna, L., M. Fusi, S. Spiga, M. Fanciulli, G. Brammertz, C. Merckling, M. Meuris, and A. Molle. “Effects of Surface Passivation During Atomic Layer Deposition of Al2O3 on In0.53Ga0.47As Substrates.” Microelectronic Engineering 88, no. 4 (April 2011): 431–434.</ref>]====  
====[http://www.sciencedirect.com/science/article/pii/S0167931710004077 Effects of surface passivation during atomic layer deposition of Al2O3 on In0.53Ga0.47As substrates<ref name="Lamagna">Lamagna, L., M. Fusi, S. Spiga, M. Fanciulli, G. Brammertz, C. Merckling, M. Meuris, and A. Molle. “Effects of Surface Passivation During Atomic Layer Deposition of Al2O3 on In0.53Ga0.47As Substrates.” Microelectronic Engineering 88, no. 4 (April 2011): 431–434.</ref>]====  
'''Abstract''':In this work we investigate the effect of different III–V surface passivation strategies during atomic layer deposition of Al2O3. X-ray photoelectron spectroscopy indicates that bare As-decapped and sulfur passivated In0.53Ga0.47As present residual oxides on the surface just before the beginning of the Al2O3 deposition while the insertion of a Ge interface passivation layer results in an almost oxide free Ge/III–V interface. The study of the initial growth regimes, by means of in situ spectroscopic ellipsometry, shows that the growth of Al2O3 on Ge leads to an enhanced initial growth accompanied by the formation of Ge–O–Al species thus affecting the final electrical properties of the stack. Alternatively, deposition on decapped and S-passivated In0.53Ga0.47As results in a more controlled growth process. The sulfur passivation leads to a better electrical response of the capacitor that can be associated to a lower oxide/semiconductor interface trap density.


===references===
===references===
<references/>
<references/>

Revision as of 22:27, 3 October 2012

This page describes selected literature available on atomic layer deposition.

Applications of atomic layer deposition to nanofabrication and emerging nanodevices[1]

Abstract: Recently, with scaling down of semiconductor devices, need for nanotechnology has increased enormously. For nanoscale devices especially, each of the layers should be as thin and as perfect as possible. Thus, the application of atomic layer deposition (ALD) to nanofabrication strategies and emerging nanodevices has sparked a good deal of interest due to its inherent benefits compared to other thin film deposition techniques. Since the ALD process is intrinsically atomic in nature and results in the controlled deposition of films at the atomic scale, ALD produces layers with nanometer scale thickness control and excellent conformality. In this report, we review current research trends in ALD processes, focusing on the application of ALD to emerging nanodevices utilizing fabrication through nanotechnology.

  • Atomic layer deposition consists of four essential steps: 1)precursor exposure, 2) evacuation or purging of the precursors and any byproducts from the chamber, 3) exposure of the reactant species, typically oxidants or reagents, and 4) evacuation or purging of the reactants and byproduct molecules from the chamber .
  • A clear and distinctive feature of ALD lies in the self-limitation for precursor adsorption and alternate, sequential exposure of precursors and reactants
  • A challenge of deposition on nanoscale three-diamension surface is that while saturated adsorption is achieved on the flat surface at a given amount of precursor exposure, incomplete saturation reaction may occur deep inside of nanosize holes or vias, leading to poor overall conformality
  • The required exposure to achieve good saturation can be controlled by varying exposure time or working pressure. Higher pressure during precursor exposure was shown to enhance precursor adsorption on the inside surface of the pores, resulting in the reduction of exposure time for saturation.
  • Some materials, particularly metal ALD material, may yield islands during ALD process, which affects the growth rate, resulting in a non-linear increase in film thickness vs. growth cycles, and makes it difficult to obtain atomically smooth surfaces
  • Another important characteristic of ALD is the ability to deposit relatively high quality films at low temperature, that gives opportunities to material with low evaporation temperature, like InN.( low compared to CVD even at low temperature).
  • Another advantage is that ALD can be performed on polymer substrates with nucleation site. TMA molecules into the pores of polymers was found to facilitate the nucleation of ALD Al2O3.
  • Method: fluid bed reactor can be used for nanoparticle ALD.
  • ALD processes the advantage of biomtemplating from existing materials such as bacteria, butterfly wings, spider silk, etc. due to its high conformality and low growth temperature.
  • High aspect ratio AAO with ALD perform as templates for complex nanomorphology fabrication, particular the nanoarrays, nanotube and nanorods.

Atomic Layer Deposition: An Overview[2]

Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells[3]

Abstract: Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al2O3, ZnO, SnO2, Nb2O5, HfO2, Ga2O3 and TiO2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy.

  • Author(s) proposed a completely novel 3D host-passivation-guest structure using self-assembly and atomic layer deposition techniquies that enabled an increase of more than 100mV in a liquid state DSC.
  • ALD technique can be used to directly passivate surface with random morphology with atomic scaled dense layer, which could be beneficial for DSC fabrication by reducing interfacial recombination and improving the host chemical stability.
  • SE are used to confirm the uniformity of the deposition throughout the reactor.

Coking- and Sintering-Resistant Palladium Catalysts Achieved Through Atomic Layer Deposition[4]

Abstract: We showed that alumina (Al2O3) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al2O3 overcoated Pd catalysts.

Atomic layer-deposited tunnel oxide stabilizes silicon photoanodes for water oxidation[5]

Abstract: A leading approach for large-scale electrochemical energy production with minimal global-warming gas emission is to use a renewable source of electricity, such as solar energy, to oxidize water, providing the abundant source of electrons needed in fuel synthesis. We report corrosion-resistant, nanocomposite anodes for the oxidation of water required to produce renewable fuels. Silicon, an earth-abundant element and an efficient photovoltaic material, is protected by atomic layer deposition (ALD) of a highly uniform, 2 nm thick layer of titanium dioxide (TiO2) and then coated with an optically transmitting layer of a known catalyst (3 nm iridium). Photoelectrochemical water oxidation was observed to occur below the reversible potential whereas dark electrochemical water oxidation was found to have low-to-moderate overpotentials at all pH values, resulting in an inferred photovoltage of ~550 mV. Water oxidation is sustained at these anodes for many hours in harsh pH and oxidative environments whereas comparable silicon anodes without the TiO2 coating quickly fail. The desirable electrochemical efficiency and corrosion resistance of these anodes is made possible by the low electron-tunnelling resistance (<0.006 Ω cm2 for p+-Si) and uniform thickness of atomic-layer deposited TiO2.

Mimicking the colourful wing scale structure of the Papilio blumei butterfly[6]

Abstract: The brightest and most vivid colours in nature arise from the interaction of light with surfaces that exhibit periodic structure on the micro- and nanoscale. In the wings of butterflies, for example, a combination of multilayer interference, optical gratings, photonic crystals and other optical structures gives rise to complex colour mixing. Although the physics of structural colours is well understood, it remains a challenge to create artificial replicas of natural photonic structures. Here we use a combination of layer deposition techniques, including colloidal self-assembly, sputtering and atomic layer deposition, to fabricate photonic structures that mimic the colour mixing effect found on the wings of the Indonesian butterfly Papilio blumei. We also show that a conceptual variation to the natural structure leads to enhanced optical properties. Our approach offers improved efficiency, versatility and scalability compared with previous approaches.

Atomic layer deposition of transition metals[7]

Abstract: Atomic layer deposition (ALD) is a process for depositing highly uniform and conformal thin films by alternating exposures of a surface to vapours of two chemical reactants. ALD processes have been successfully demonstrated for many metal compounds, but for only very few pure metals. Here we demonstrate processes for the ALD of transition metals including copper, cobalt, iron and nickel. Homoleptic N,N′-dialkylacetamidinato metal compounds and molecular hydrogen gas were used as the reactants. Their surface reactions were found to be complementary and self-limiting, thus providing highly uniform thicknesses and conformal coating of long, narrow holes. We propose that these ALD layers grow by a hydrogenation mechanism that should also operate during the ALD of many other metals. The use of water vapour in place of hydrogen gas gives highly uniform, conformal films of metal oxides, including lanthanum oxide. These processes should permit the improved production of many devices for which the ALD process has previously not been applicable.

Electrical characterization of thin Al2O3 films grown by atomic layer deposition on silicon and various metal substrates[8]

Abstract: Al2O3 films with thicknesses ranging from 30 to 3540 Å were grown in a viscous flow reactor using atomic layer deposition (ALD) with trimethylaluminum and water as the reactants. Growth temperatures ranged from 125 to 425 °C. The Al2O3 ALD films were deposited successfully on a variety of substrates including Au, Co, Cr, Cu, Mo, Ni, NiFe, NiMn, Pt, PtMn, Si, stainless steel, W, and ZnO. Electrical properties were characterized by current–voltage and capacitance–voltage measurements using a mercury probe. These measurements focused mainly on Al2O3 ALD films deposited on n-type Si(1 0 0) and on Mo-coated Si(1 0 0) substrates. Excellent insulating properties were observed for nearly all of the Al2O3 films. For a typical Al2O3 ALD film with a 120 Å thickness, leakage currents of <1 nA/cm2 were observed at an applied electric field of 2 MV/cm. Fowler–Nordheim tunneling was observed at high electric fields and dielectric breakdown occurred only at ⩾5 MV/cm. Dielectric constants of k∼7.6 were measured for thick Al2O3 ALD films. The measured dielectric constant decreased with decreasing Al2O3 film thickness and suggested the presence of a thin interfacial oxide layer. For Al2O3 ALD films grown on n-type Si(1 0 0), capacitance measurements were consistent with an interfacial layer with a SiO2 equivalent oxide thickness of 11 Å. Spectroscopic ellipsometry investigations also were in agreement with a SiO2 interfacial layer with a 13 Å thickness.

Improved Functionality of Lithium-Ion Batteries Enabled by Atomic Layer Deposition on the Porous Microstructure of Polymer Separators and Coating Electrodes[9]

Abstract: Atomic layer deposition (ALD) of Al2O3 is applied on a polypropylene separator for lithium-ion batteries. A thin Al2O3 layer (<10 nm) is coated on every surface of the porous polymer microframework without significantly increasing the total separator thickness. The thin Al2O3 ALD coating results in significantly suppressed thermal shrinkage, which may lead to improved safety of the batteries. More importantly, the wettability of Al2O3 ALD-coated separators in an extremely polar electrolyte based on pure propylene carbonate (PC) solvent is demonstrated, without any decrease in electrochemical performances such as capacity, rate capability, and cycle life. Finally, a LiCoO2/natural graphite full cell is demonstrated under extremely severe conditions (pure PC-based electrolyte and high (4.5 V) upper cut-off potential), which is enabled by the Al2O3 ALD coating on all three components (cathode, anode, and separator).

Ab Initio Calculations of the Reaction Mechanisms for Metal−Nitride Deposition from Organo-Metallic Precursors onto Functionalized Self-Assembled Monolayers[10]

Abstract: An atomistic mechanism has been derived for the initial stages of the adsorption reaction for metal?nitride atomic layer deposition (ALD) from alkylamido organometallic precursors of Ti and Zr on alkyltrichorosilane-based self-assembled monolayers (SAMs). The effect of altering the terminal functional group on the SAM (including ?OH, ?NH2, ?SH, and ?NH(CH3)) has been investigated using the density functional theory and the MP2 perturbation theory. Reactions on amine-terminated SAMs proceed through the formation of a dative-bond complex with an activation barrier of 16?20 kcal/mol. In contrast, thiol-terminated SAMs form weak hydrogen-bonded intermediates with activation barriers between 7 and 10 kcal/mol. The deposition of Ti organometallic precursors on hydroxyl-terminated SAMs proceeds through the formation of stronger hydrogen-bonded complexes with barriers of 7 kcal/mol. Zr-based precursors form dative-bonded adducts with near barrierless transitions. This variety allows us to select a kinetically favorable substrate for a chosen precursor. The predicted order of reactivity of differently terminated SAMs and the temperature dependence of the initial reaction probability have been confirmed for Ti-based precursors by recent experimental results.53 We predict that the replacement of methyl groups by trifluoromethyl groups on the SAM backbone decreases the activation barrier for amine-terminated SAMs by 5 kcal/mol. This opens a route to alter the native reactivities of a given SAM termination, in this case making amine termination energetically viable. The surface distribution of SAM molecules has a strong effect on the adsorption kinetics of Ti-based precursors. Unimolecular side decomposition reactions were found to be kinetically competitive with adsorption at 400 K.

Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium[11]

Abstract: Atomic layer deposition (ALD) of metal selenide and telluride thin films has been limited because of a lack of precursors that would at the same time be safe and exhibit high reactivity as required in ALD. Yet there are many important metal selenide and telluride thin film materials whose deposition by ALD might be beneficial, for example, CuInSe2 for solar cells and Ge2Sb2Te5 for phase-change random-access memories. Especially in the latter case highly conformal deposition offered by ALD is essential for high storage density. By now, ALD of germanium antimony telluride (GST) has been attempted only using plasma-assisted processes owing to the lack of appropriate tellurium precursors. In this paper we make a breakthrough in the development of new ALD precursors for tellurium and selenium. Compounds with a general formula (R3Si)2Te and (R3Si)2Se react with various metal halides forming the corresponding metal tellurides and selenides. As an example, we show that Sb2Te3, GeTe, and GST films can be deposited by ALD using (Et3Si)2Te, SbCl3, and GeCl2·C4H8O2 compounds as precursors. All three precursors exhibit a typical saturative ALD growth behavior and GST films prepared at 90 °C show excellent conformality on a high aspect-ratio trench structure.

Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition[12]

Abstract: Area-selective atomic layer deposition (ALD) allows the growth of highly uniform thin inorganic films on certain parts of the substrate while preventing the film growth on other parts. Although the selective ALD growth is working well at the micron and submicron scale, it has failed at the nanoscale, especially near the interface where there is growth on one side and no-growth on the other side. The reason is that methods so far solely rely on the chemical modification of the substrate, while neglecting the occurrence of lateral ALD growth at the nanoscale. Here we present a proof-of-concept for blocking the lateral ALD growth also at the nanoscale by combining the chemical surface modification with topographical features. We demonstrate that area-selective ALD of ZnO occurs by applying the diethylzinc/water ALD process on cicada wings that contain a dense array of nanoscopic pillars. The sizes of the features in the inorganic film are down to 25 nm which is, to the best of our knowledge, the smallest obtained by area-selective ALD. Importantly, our concept allows the synthesis of such small features even though the film is multiple times thicker.

Growth of Crystalline Gd2O3 Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition[13]

Abstract:This work documents the first example of deposition of high-quality Gd2O3 thin films in a surface-controlled, self-limiting manner by a water-based atomic layer deposition (ALD) process using the engineered homoleptic gadolinium guanidinate precursor [Gd(DPDMG)3]. The potential of this class of compound is demonstrated in terms of a true ALD process, exhibiting pronounced growth rates, a high-quality interface between the film and the substrate without the need for any additional surface treatment prior to the film deposition, and most importantly, encouraging electrical properties.

Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a “Bait and Switch” Surface-Limited Reaction[14]

Abstract:Ge nanofilms were deposited from aqueous solutions using the electrochemical analog of atomic layer deposition (ALD). Direct electrodeposition of Ge from an aqueous solution is self-limited to a few monolayers, depending on the pH. This report describes an E-ALD process for the growth of Ge films from aqueous solutions. The E-ALD cycle involved inducing a Ge atomic layer to deposit on a Te atomic layer formed on Ge, via underpotential deposition (UPD). The Te atomic layer was then reductively stripped from the deposit, leaving the Ge and completing the cycle. The Te atomic layer was bait for Ge deposition, after which the Te was switched out, reduced to a soluble telluride, leaving the Ge (one ?bait and switch? cycle). Deposit thickness was a linear function of the number of cycles. Raman spectra indicated formation of an amorphous Ge film, consistent with the absence of a XRD pattern. Films were more stable and homogeneous when formed on Cu substrates, than on Au, due to a larger hydrogen overpotential, and the corresponding lower tendency to form bubbles.

Coaxial Heterogeneous Structure of TiO2 Nanotube Arrays with CdS as a Superthin Coating Synthesized via Modified Electrochemical Atomic Layer Deposition[15]

Abstract:We report the fabrication and characterization of CdS/TiO2 nanotube-array coaxial heterogeneous structures. Such structures may potentially be applied in various photocatalytic fields, such as water photocatalytic decomposition and toxic pollutant photocatalytic degradation. Thin films of CdS are conformally deposited onto TiO2 nanotubes using a modified method of electrochemical atomic layer deposition. We propose that such nanostructured electrodes can overcome the poor absorption and high charge-carrier recombination observed with nanoparticulate films. The practical electrochemical deposition technique promotes the deposition of CdS onto the TiO2 tube walls while minimizing deposition at the tube entrances, thus preventing pore clogging. The coaxial heterogeneous structure prepared by the new electrochemical process significantly enhances CdS/TiO2 and CdS/electrolyte contact areas and reduces the distance that holes and electrons must travel to reach the electrolyte or underlying conducting substrate. This results in enhanced photon absorption and photocurrent generation. The detailed synthesis process and the surface morphology, structure, elemental analysis, and photoelectrochemical properties of the resulting films with the CdS/TiO2 nanotube-array coaxial heterogeneous structure are discussed. In comparison with a pure TiO2 nanotube array, a 5-fold enhancement in photoactivity was observed using the coaxial heterogeneous structure. This methodology may be useful in designing multijunction semiconductor materials for coating of highly structured substrates.

Atomic Layer Deposition of Metal Oxides on Pristine and Functionalized Graphene[16]

Abstract:We investigate atomic layer deposition (ALD) of metal oxide on pristine and functionalized graphene. On pristine graphene, ALD coating can only actively grow on edges and defect sites, where dangling bonds or surface groups react with ALD precursors. This affords a simple method to decorate and probe single defect sites in graphene planes. We used perylene tetracarboxylic acid (PTCA) to functionalize the graphene surface and selectively introduced densely packed surface groups on graphene. Uniform ultrathin ALD coating on PTCA graphene was achieved over a large area. The functionalization method could be used to integrate ultrathin high-? dielectrics in future graphene electronics.

Thin film atomic layer deposition equipment for semiconductor processing[17]

Abstract:Atomic layer deposition (ALD) of ultrathin high-K dielectric films has recently penetrated research and development lines of several major memory and logic manufacturers due to the promise of unprecedented control of thickness, uniformity, quality and material properties. LYNX-ALD technology from Genus, currently at beta phase, was designed around the anticipation that future ultrathin materials are likely to be binary, ternary or quaternary alloys or nanolaminate composites. A unique chemical delivery system enables synergy between traditional, production-proven low pressure chemical vapor deposition (LPCVD) technology and atomic layer deposition (ALD) controlled by sequential surface reactions. Source chemicals from gas, liquid or solid precursors are delivered to impinge on reactive surfaces where self-limiting surface reactions yield film growth with layer-by-layer control. Surfaces are made reactive by the self-limiting reactions, by surface species manipulation, or both. The substrate is exposed to one reactant at a time to suppress possible chemical vapor deposition (CVD) contribution to the film. Precisely controlled composite materials with multiple-component dielectric and metal–nitride films can be deposited by ALD techniques. The research community has demonstrated these capabilities during the past decade. Accordingly, ALD equipment for semiconductor processing is unanimously in high demand. However, mainstream device manufacturers still criticize ALD to be non-viable for Semiconductor device processing. This article presents a broad set of data proving feasibility of ALD technology for semiconductor device processing.

Chemical vapour deposition and atomic layer deposition of amorphous and nanocrystalline metallic coatings: Towards deposition of multimetallic films[18]

Abstract:This paper provides a prospective insight on chemical vapour deposition (CVD) and atomic layer deposition (ALD) as dry techniques for the processing of amorphous and nanocrystalline metallic thin films. These techniques are part of major technologies in application fields such as microelectronics, energy, or protective coatings. From thermodynamic analysis, areas of investigation to generate a set of materials with the strongest propensity for amorphization as well as useful guidelines for the target phase material deposition are provided. Prospective to develop MOCVD (metalorganic chemical vapour deposition) and ALD of intermetallic films, in view of fabrication of metallic glass thin films is proposed. Examples from selected ALD and MOCVD single element metallic deposition processes will be described to illustrate the effect of deposition parameters on the physico-chemical properties of the films. This processing approach is particularly promising for metallic glass thin films.

Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process[19]

Abstract:Atomic layer deposition (ALD), a chemical vapor deposition technique based on sequential self-terminating gas #x2013;solid reactions, has for about four decades been applied for manufacturing conformal inorganic material layers with thickness down to the nanometer range. Despite the numerous successful applications of material growth by ALD, many physicochemical processes that control ALD growth are not yet sufficiently understood. To increase understanding of ALD processes, overviews are needed not only of the existing ALD processes and their applications, but also of the knowledge of the surface chemistry of specific ALD processes. This work aims to start the overviews on specific ALD processes by reviewing the experimental information available on the surface chemistry of the trimethylaluminum/water process. This process is generally known as a rather ideal ALD process, and plenty of information is available on its surface chemistry. This in-depth summary of the surface chemistry of one representative ALD process aims also to provide a view on the current status of understanding the surface chemistry of ALD, in general. The review starts by describing the basic characteristics of ALD, discussing the history of ALD #x2014;including the question who made the first ALD experiments #x2014;and giving an overview of the two-reactant ALD processes investigated to date. Second, the basic concepts related to the surface chemistry of ALD are described from a generic viewpoint applicable to all ALD processes based on compound reactants. This description includes physicochemical requirements for self-terminating reactions, reaction kinetics, typical chemisorption mechanisms, factors causing saturation, reasons for growth of less than a monolayer per cycle, effect of the temperature and number of cycles on the growth per cycle (GPC), and the growth mode. A comparison is made of three models available for estimating the sterically allowed value of GPC in ALD. Third, the exp- erimental information on the surface chemistry in the trimethylaluminum/water ALD process are reviewed using the concepts developed in the second part of this review. The results are reviewed critically, with an aim to combine the information obtained in different types of investigations, such as growth experiments on flat substrates and reaction chemistry investigation on high-surface-area materials. Although the surface chemistry of the trimethylaluminum/water ALD process is rather well understood, systematic investigations of the reaction kinetics and the growth mode on different substrates are still missing. The last part of the review is devoted to discussing issues which may hamper surface chemistry investigations of ALD, such as problematic historical assumptions, nonstandard terminology, and the effect of experimental conditions on the surface chemistry of ALD. I hope that this review can help the newcomer get acquainted with the exciting and challenging field of surface chemistry of ALD and can serve as a useful guide for the specialist towards the fifth decade of ALD research.

Formation of strontium template on Si(1 0 0) by atomic layer deposition[20]

Abstract:The formation of ordered Sr overlayers on Si(1 0 0) by Atomic Layer Deposition (ALD) from bis(triisopropylcyclopentadienyl) Strontium (Sr(C5iPr3H2)2) and H2O has been investigated. SrO overlayers were deposited on a 1–2 nm SiO2/Si(1 0 0) substrate, followed by a deoxidation process to remove the SiO2 layer at high temperatures. Auger electron spectroscopy, Rutherford backscattering spectrometry, spectroscopic ellipsometry, and low-energy electron diffraction were used to investigate the progress of both ALD and deoxidation processes. Results show that an ordered Sr/Si(1 0 0) surface with 2 × 1 pattern can be obtained after depositing several monolayers of SrO on Si using ALD followed by an anneal at 800–850 °C. The (2 × 1) ordered Sr/Si(1 0 0) surface is known to be an excellent template for the epitaxial growth of SrTiO3 (STO) oxide. The present results demonstrate that ALD is a potential alternative to molecular beam epitaxy methods for the fabrication of epitaxial oxides on semiconductor substrates.

Atomic layer deposition of palladium films on Al2O3 surfaces[21]

Abstract:We examined the atomic layer deposition (ALD) of Pd films using sequential exposures of Pd(II) hexafluoroacetylacetonate (Pd(hfac)2) and formalin and discovered that formalin enables the efficient nucleation of Pd ALD on Al2O3. In situ quartz crystal microbalance measurements revealed that the Pd nucleation is hampered by the relatively slow reaction of the adsorbed Pd(hfac)2 species, but is accelerated using larger initial Pd(hfac)2 and formalin exposures. Pd nucleation proceeds via coalescence of islands and leaves hfac contamination at the Al2O3 interface. Pd films were deposited on the thermal oxide of silicon, glass and mesoporous anodic alumina following the ALD of a thin Al2O3 seed layer and analyzed using a variety of techniques. We measured a Pd ALD growth rate of 0.2 Å/cycle following a nucleation period of slower growth. The deposited films are cubic Pd with a roughness of 4.2 nm and a resistivity of 11 μΩ cm at 42 nm thickness. Using this method, Pd deposits conformally on the inside of mesoporous anodic alumina membranes with aspect ratio ∼1500 yielding promising hydrogen sensors.

Effects of surface passivation during atomic layer deposition of Al2O3 on In0.53Ga0.47As substrates[22]

Abstract:In this work we investigate the effect of different III–V surface passivation strategies during atomic layer deposition of Al2O3. X-ray photoelectron spectroscopy indicates that bare As-decapped and sulfur passivated In0.53Ga0.47As present residual oxides on the surface just before the beginning of the Al2O3 deposition while the insertion of a Ge interface passivation layer results in an almost oxide free Ge/III–V interface. The study of the initial growth regimes, by means of in situ spectroscopic ellipsometry, shows that the growth of Al2O3 on Ge leads to an enhanced initial growth accompanied by the formation of Ge–O–Al species thus affecting the final electrical properties of the stack. Alternatively, deposition on decapped and S-passivated In0.53Ga0.47As results in a more controlled growth process. The sulfur passivation leads to a better electrical response of the capacitor that can be associated to a lower oxide/semiconductor interface trap density.

references

  1. Kim, Hyungjun, Han-Bo-Ram Lee, and W.-J. Maeng. “Applications of Atomic Layer Deposition to Nanofabrication and Emerging Nanodevices.” Thin Solid Films 517, no. 8 (February 27, 2009): 2563–2580.
  2. George, Steven M. “Atomic Layer Deposition: An Overview.” Chemical Reviews 110, no. 1 (January 13, 2010): 111–131.
  3. Tétreault, Nicolas, L-P. Heiniger, M Stefik, P. L. Labouchère, Éric Arsenault, N. K. Nazeeruddin, G A. Ozin, and M. Grätzel. “(Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells.” 303–314, 2011.
  4. Lu, Junling, Baosong Fu, Mayfair C. Kung, Guomin Xiao, Jeffrey W. Elam, Harold H. Kung, and Peter C. Stair. “Coking- and Sintering-Resistant Palladium Catalysts Achieved Through Atomic Layer Deposition.” Science 335, no. 6073 (March 9, 2012): 1205–1208.
  5. Chen, Yi Wei, Jonathan D. Prange, Simon Dühnen, Yohan Park, Marika Gunji, Christopher E. D. Chidsey, and Paul C. McIntyre. “Atomic Layer-deposited Tunnel Oxide Stabilizes Silicon Photoanodes for Water Oxidation.” Nature Materials 10, no. 7 (2011): 539–544.
  6. Kolle, Mathias, Pedro M. Salgard-Cunha, Maik R. J. Scherer, Fumin Huang, Pete Vukusic, Sumeet Mahajan, Jeremy J. Baumberg, and Ullrich Steiner. “Mimicking the Colourful Wing Scale Structure of the Papilio Blumei Butterfly.” Nature Nanotechnology 5, no. 7 (2010): 511–515.
  7. Lim, Booyong S., Antti Rahtu, and Roy G. Gordon. “Atomic Layer Deposition of Transition Metals.” Nature Materials 2, no. 11 (2003): 749–754.
  8. Groner, M.D., J.W. Elam, F.H. Fabreguette, and S.M. George. “Electrical Characterization of Thin Al2O3 Films Grown by Atomic Layer Deposition on Silicon and Various Metal Substrates.” Thin Solid Films 413, no. 1–2 (June 24, 2002): 186–197.
  9. Jung, Yoon Seok, Andrew S. Cavanagh, Lynn Gedvilas, Nicodemus E. Widjonarko, Isaac D. Scott, Se-Hee Lee, Gi-Heon Kim, Steven M. George, and Anne C. Dillon. “Improved Functionality of Lithium-Ion Batteries Enabled by Atomic Layer Deposition on the Porous Microstructure of Polymer Separators and Coating Electrodes.” Advanced Energy Materials 2, no. 8 (August 2012): 1022–1027.
  10. Haran, Mohit, James R. Engstrom, and Paulette Clancy. “Ab Initio Calculations of the Reaction Mechanisms for Metal−Nitride Deposition from Organo-Metallic Precursors onto Functionalized Self-Assembled Monolayers.” Journal of the American Chemical Society 128, no. 3 (January 1, 2006): 836–847.
  11. Pore, Viljami, Timo Hatanpää, Mikko Ritala, and Markku Leskelä. “Atomic Layer Deposition of Metal Tellurides and Selenides Using Alkylsilyl Compounds of Tellurium and Selenium.” Journal of the American Chemical Society 131, no. 10 (March 18, 2009): 3478–3480.
  12. Ras, Robin H. A., Elina Sahramo, Jari Malm, Janne Raula, and Maarit Karppinen. “Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition.” Journal of the American Chemical Society 130, no. 34 (August 1, 2008): 11252–11253.
  13. Milanov, Andrian P., Ke Xu, Apurba Laha, Eberhard Bugiel, Ramadurai Ranjith, Dominik Schwendt, H. Jörg Osten, Harish Parala, Roland A. Fischer, and Anjana Devi. “Growth of Crystalline Gd2O3 Thin Films with a High-Quality Interface on Si(100) by Low-Temperature H2O-Assisted Atomic Layer Deposition.” Journal of the American Chemical Society 132, no. 1 (January 13, 2010): 36–37.
  14. Liang, Xuehai, Qinghui Zhang, Marcus D. Lay, and John L. Stickney. “Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a ‘Bait and Switch’ Surface-Limited Reaction.” Journal of the American Chemical Society 133, no. 21 (June 1, 2011): 8199–8204.
  15. Zhu, Wen, Xi Liu, Huiqiong Liu, Dali Tong, Junyou Yang, and Jiangying Peng. “Coaxial Heterogeneous Structure of TiO2 Nanotube Arrays with CdS as a Superthin Coating Synthesized via Modified Electrochemical Atomic Layer Deposition.” Journal of the American Chemical Society 132, no. 36 (September 15, 2010): 12619–12626.
  16. Wang, Xinran, Scott M. Tabakman, and Hongjie Dai. “Atomic Layer Deposition of Metal Oxides on Pristine and Functionalized Graphene.” Journal of the American Chemical Society 130, no. 26 (July 1, 2008): 8152–8153.
  17. Sneh, Ofer, Robert B Clark-Phelps, Ana R Londergan, Jereld Winkler, and Thomas E Seidel. “Thin Film Atomic Layer Deposition Equipment for Semiconductor Processing.” Thin Solid Films 402, no. 1–2 (January 1, 2002): 248–261.
  18. Blanquet, Elisabeth, Arnaud Mantoux, Michel Pons, and Constantin Vahlas. “Chemical Vapour Deposition and Atomic Layer Deposition of Amorphous and Nanocrystalline Metallic Coatings: Towards Deposition of Multimetallic Films.” Journal of Alloys and Compounds 504, Supplement 1, no. 0 (August 2010): S422–S424.
  19. Puurunen, Riikka L. “Surface Chemistry of Atomic Layer Deposition: A Case Study for the Trimethylaluminum/water Process.” Journal of Applied Physics 97, no. 12 (June 2005): 121301 –121301–52.
  20. Zhang, C.B., L. Wielunski, and B.G. Willis. “Formation of Strontium Template on Si(1 0 0) by Atomic Layer Deposition.” Applied Surface Science 257, no. 11 (March 15, 2011): 4826–4830.
  21. Elam, J.W., A. Zinovev, C.Y. Han, H.H. Wang, U. Welp, J.N. Hryn, and M.J. Pellin. “Atomic Layer Deposition of Palladium Films on Al2O3 Surfaces.” Thin Solid Films 515, no. 4 (December 5, 2006): 1664–1673.
  22. Lamagna, L., M. Fusi, S. Spiga, M. Fanciulli, G. Brammertz, C. Merckling, M. Meuris, and A. Molle. “Effects of Surface Passivation During Atomic Layer Deposition of Al2O3 on In0.53Ga0.47As Substrates.” Microelectronic Engineering 88, no. 4 (April 2011): 431–434.
Cookies help us deliver our services. By using our services, you agree to our use of cookies.